Iyo Linux / Unix Command Tarisira

Anotarisira chirongwa chinotaura kune mamwe mapurogiramu anofanirwa maererano neshoko. Kutevera script, Tarisira kuti unoziva zvinogona kutarisirwa kubva purogiramu uye kuti mhinduro yakakodzera inofanira kuva chii. Mutauro unotsanangurwa unopa branching uye zvikwata zvepamusoro-soro zvekutungamirira hurukuro. Mukuwedzera, mushandisi anogona kutora uye anobatana zvakananga kana achida, shure kwekudzorera kudzora kune script.

Tarisira isanganiswa ye Tarisira uye Tk. Iyo inoita sezvinotarisira Target uye Tk's wish. Anotarisira anogonawo kushandiswa zvakananga muC kana C ++ pasina Tcl.

Zita rokuti "Tarisira" rinobva mupfungwa yekutumira / kutarisira zvinotevera zvinowanikwa neuucp, kermit nemamwe mapurogiramu ekudzivirira modem. Zvisinei zvisingafani neweucp, Tarisira zvakazara kuitira kuti zvikwanise kumhanya semirairo yepamusoro-shandisa chero chirongwa uye basa mupfungwa. Tarisira unogona kutaurira mapurogiramu akawanda panguva imwe chete.

Ndezvipi zvinotarisirwa kuita

Somuenzaniso, hezvino zvimwe zvinhu izvo mutemo unotarisira unogona kuita:

Pane zvikonzero zvakasiyana-siyana nei shell inogona kuita izvi. Zvose zvinogoneka nekutarisira.

Pasi pose, Tarisira kunobatsira pakushanda chero chirongwa chinoda kubatanidzwa pakati pechirongwa nemushandisi. Zvose izvo zvinodiwa ndezvokuti kubatana kunogona kuratidzirwa purogiramu. Tarisira kunogonawo kudzorera kumashure kumushandisi pasina kupedza chirongwa chinotungamirirwa. Saizvozvowo, mushandisi anogona kudzorera kudzora kune script chero nguva.

Ushumiri

Teererai mashoma cmdfile pane runyora rwemirairo yekuita. Tarisira zvingave zvichinyorwa zvachose pane zvirongwa zvinotsigira #! kuverenga kuburikidza nokucherechedza script seyakashandiswa uye kuisa mutsara wokutanga mune script:

#! / usr / local / bin / tarisa -f

Zvechokwadi, nzira yacho inonyatsotsanangura kuti Ndeipi Tarisira hupenyu. / usr / local / bin inongova muenzaniso.

I--c mureza inotanga murairo kuti iurayiwe tisati tave mune script. Murairo unofanirwa kutaurwa kuti udzivise kuputsika nehombodo. Izvi zvinogona kushandiswa kakawanda. Mitemo yakawanda inogona kuurayiwa ne-single-c nekudziparadzanisa ne semicolons. Mirairo inourawa muhurongwa iyo inoonekwa. Paunenge uchishandisa Expectk, sarudzo iyi inotsanangurwa se-mumiririri.

I-dhidhi inoita kuti zvimwe zviongororwe zvinowanikwa, izvo zvinonyanya kuratidza mabasa emukati emirairo sekutarisira nekubatana. Iyi mureza ine mhedzisiro yakafanana ne "exp_internally 1" pakutanga kweAnotarisira script, pamwe neyo yeIndaneti inodhindwa.

I-mureza weD-inobvumira dhigger inopindirana. Nhamba inokosha inofanira kutevera. Mushanduri wacho achatora utongi usati waita Tcl inotevera kana kukosha kwacho kusina zero kana kana ^ C inomanikidzwa kana kuti kukanganisa kunoputika, kana chimwe chirevo chakakodzera chekutsvaga mhinduro chinowanikwa mune script. Paunenge uchishandisa Expectk, sarudzo iyi inotsanangurwa se - Debug.

I--f fani inotanga faira kubva kuverenga mirairo. Mureza pachawo unofadza sezvo uchishanda chete paunoshandisa #! notation, kuitira kuti dzimwe nharo dzinogona kugoverwa pamutemo wekutanga. Paunenge uchishandisa Expectk, sarudzo iyi inotsanangurwa se -file.

Nokusakanganiswa, faira rairo rinowanikwa mumurangariro uye rinopedzwa zvachose. Iko pane dzimwe nguva inofadza kuverenga mafaira imwe rwonzi panguva. Kuti uomanikidze mafaira akangwara kuti agadziriswe nenzira iyi, shandisa-b bhizha. Paunenge uchishandisa Expectk, sarudzo iyi inotsanangurwa se-inokurudzira.

Kana tambo "-" inowanikwa se filename, mhinduro inowanikwa inoverengerwa. Shandisa "./-" kuverenga kubva pane faira inonzi "-".

I-mureza inokonzera Tarisira kuti inopindirana mhinduro kune mirairo pane kuiveverenga kubva kune faira. Kukurudzira kunopedzwa kuburikidza nemutemo wokubuda kana paEOF. I-mureza inofungidzirwa kana kana faira rekuda kana-c ishandiswa. Paunoshandisa Expectk, sarudzo iyi inotsanangurwa se-isingabatsiri.

- inogona kushandiswa kugadzirisa kuguma kwemasarudzo. Izvi zvinobatsira kana iwe uchida kupfuudza chisarudzo-kufanana nharo kune script yako kunze kwekududzirwa neTarisira. Izvi zvinogona kuiswa nenzira yakanaka mu #! mutsara wokudzivirira chero shanduro yakafanana nemafanirwa nekutarisira. Semuenzaniso, zvinotevera zvichasiya mavambo ekutanga kusanganisira zita rechinyorwa mu variable argv .

#! / usr / local / bin / tarisa -

Cherechedza kuti inowanzoitop (3) uye inoita (2) kokorodzano inofanira kuonekwa paunowedzera nharo kune #! mutsara.

Iyo faira $ exp_library / hope.rc inonongedzwa zviripo kana iripo, kunze kwekuti -Nigaragari ishandiswa. (Paunenge uchishandisa Expectk, sarudzo iyi inotsanangurwa se -NORC.) Pakarepo mushure meizvi, faira ~ / .expect.rc inoshandiswa pakarepo, kunze kwekuti -nigendera ishandiswa. Kana nzvimbo yakasiyana-siyana inonzi DOTDIR inotsanangurwa, iyo inobatwa senhare uye .expect.rc inoverengwa kubva ipapo. Paunoshandisa Expectk, sarudzo iyi inotsanangurwa se -norc. Izvi zvinowanzoitika mushure mekuita chero chero-mavhiri.

-v hunokonzera Tarisira kuti udhindure nhamba yechirungu uye uende. Ikopa mureza in Expectk, iyo inoshandisa nguva refu mazita, is -version.

Optional args yakavakwa muurongwa uye yakachengetwa muzvinyorwa zvinonzi argv uye. argc is initialized to the length of argv.

Argv0 inotsanangurwa kuti ndiro zita re script kana bhinary kana pasina script inoshandiswa. Somuenzaniso, zvinotevera zvinonyora zita re script uye nharo nhatu dzokutanga:

send_user "$ argv0 [lrange $ argv 0 2] \ n"

Mirairo

Anotarisira anoshandisa Chirairo Chekushandisa Mutauro. Tcl inopa kutenderera kuenderera (kana, kwe, kuputsa), kutaura kwekuongorora uye zvimwe zvakasiyana-siyana zvakadai sekudzoka uye nzira yekutsanangura. Mirairo inoshandiswa pano asi isiri kutsanangurwa (yakagadzirirwa, kana, iyo, iyo) ndiyo Tcl mirairo. Tarisira unotsigira mamwe mairairo. Kunze kwekunge zvakataurwa, mirairo inodzorera tambo isina chinhu.

Mirairo yakanyorwa mazita epafabheti kuitira kuti iwane nokukurumidza iripo. Zvisinei, vashandisi vatsva vangawana zviri nyore kutanga nekuverenga tsanangudzo dzekugadzira, kutumira, kutarisira, nekubatana, mumutemo iwoyo.

pedo [-slave] [-onexec 0 | 1] [-i spawn_id]

inogumisa kuenderana kwezvinoitika. Mipurogiramu yakawanda inobatanidza ichaona EOF pa stdin yavo uye inobva; saka pedo kazhinji vanokwana kuti vauraye nzira yacho zvakare. I-mureza iyo inotaura nzira yekuvhara inofanana neye zita rinonzi spawn_id.

Vose vanotarisira uye vanobatana vachaona kana maitiro aripo iye zvino achibuda uye achinyatsozviita, asi kana iwe ukauraya nzira yacho, iti, "ita kuuraya $ pid", unofanirwa kudana pachena .

Iyo -onexec mureza inosarudza kana id inopisa yakavharwa mune chero ipi zvayo itsva inobviswa kana kuti iyo inoputirwa. Kuti urege kuvhara, shandisa kukosha 0. A non-zero integer integer inokonzera nzvimbo inopfigwa mune chero nzira itsva.

Iyo-mureza wevharavha inovhara muranda anobatanidzwa ne id spawn. Apo kubatana kwavharwa, muranda anovhara zvakare pamwe chete kana ichiri kuzaruka.

Hazvina mhosva kana hurumende yacho yakavharwa zvachose kana kuti zvakajeka, iwe unofanidza kudana kumirira kuti ubvise kernel inoshandiswa slot. Mutemo wekupedzisira hausi kudana kumirira sezvo pasina chivimbo chokuti kupfigira mushandisirano kunokonzera kuti ibude.

kukanganwa [[-now] 0 | 1]

inodzora tcl debugger inokubvumira kudarika nemitemo uye kuisa breakpoints.

Pasina nharo, 1 inodzorerwa kana dhigger isingashandisi, zvisinei kuti 0 inodzorerwa.

Nekukaka 1, dhugger inotanga. Neka 0 argument, dhigger inomira. Kana nharo yekutanga inotangira ne-ikozvino mureza, dhigger inotanga pakarepo. Kana zvisina kudaro, dhigger inotanga nechitema Tcl inotevera.

Mutemo wekutsauka hakusi kuchinja misungo. Enzanisa izvi nekutanga Tarisira ne--D flag.

Mutemo wokudonhedzera unodonhedzera danda rakagadzirirwa kubva kumugumo . Rinoenderera mberi ruchiyerera kumashure. Iyo nzira inopiwa sarudzo yake yeboka. Nhamba I / O inotungamirirwa zvakare ku / dev / null .

Chidimbu chinotevera chinoshandisa kubvisa kuti urambe uchiita script kumashure.

kana {fork] = 0} bvisa kubvisa. . .

Script inotevera inotsvaga password uye inomhanya purogiramu nguva imwe neimwe inoda password nguva dzose iyo inotanga. Iyo script inopa password kuitira kuti iwe unofanira kungoipeta kamwe chete.

send_user "(* *) \ n" for {} 1 {} {kana {fork] = 0} {kurara 3600; ramba} kusanidza spawn priv_prog kutarisira Password: send "$ wait_out ( 1, tambo) \ r ". . . siya]

Kubatsira pakushandisa kubvisa pamusoro peganda asynchronous process feature (&) ndeyokuti Tarisira inogona kuchengetedza mapera emagetsi asati asunungurwa uye gare gare kuvashandisa ptys idzva. Ne &, Tarisira kuti hauna mukana wekuverenga mamiririri ekugadzirisa kubva sezvo sarudzo isati yanyonganiswa neItarisiro inogamuchira kutarisa.

siya [-pts] [chimiro]

zvinokonzera Tarisira kuti uende kana kuti zvimwe zvigadzirire kuita saizvozvo.

I-- oxit mureza inokonzera kuti gakava rinotevera rishandiswe semunhu anobva kunze. Pasina kukakavadzana, ikozvino exit handler inodzorerwa.

I-- nove mureza inokonzera Tarisira kuti ugadzirire kubuda asi rega kupfupika nekudzorera kudzokera kuhutachiona. Mushandisi-akarondedzerwa wokubuda anogadzirisa anoshanda pamwe neTarisire wevashandi vemukati. Kwete zvakare Tarisirai mirairo inofanira kuitwa. Izvi zvinobatsira kana uri kutarisira Tarisira nezvimwe zvinyorwa zveTcl. Muturikiri wenguva ino (uye firiji huru kana iri munzvimbo yeTk) yakasara kuitira kuti mamwe matanho eTcl anogona kuchenesa. Kana Taritarisa kubuda kunonzi zvakare zvakare (kunyange izvi zvingaitika), vashandi havadzokeri zvakare.

Paanenge achibuda, kushamwaridzana kwose kunokonzerwa nemitambo kunopfigwa. Kuvhara kuchaonekwa seEOF kuburikidza nekuita zvirongwa. kubuda hakuiti zvimwe zviito zvinopfuura zvinowanzoitwa _exit (2) nzira inodaro. Nokudaro, zvakagadziriswa nzira dzisingatarisiri kuti EOF inogona kuramba ichimhanya. (Mamiriro ezvinhu akasiyana-siyana anokosha pakusarudza, somuenzaniso, zviratidzo zvipi zvinotangwa zvinotumirwa, asi izvi zvinoshandiswa-zvinotarisirwa, zvinowanikwa pasi pabuda (3).) Nzira dzinoenderera mberi dzichatiza dzichagara nhaka ne init.

chiremera (kana 0 kana chisati chasarudzwa) chinodzorerwa semamiriro ekubuda ekutarisira . kubuda kunonyatsourayiwa kana kuguma kwechirongwa ichi kusvika.

exp_continue [-continue_timer]
Murairo exp_pinue unobvumira kutarisira pachawo kuramba uchiita pane kudzoka sezvaunowanzoita. Nokutsvaga exp_continue resets the timer timeout. I-- continue_timer mureza inodzivirira timer kubva pakudzorerwa zvakare. (Ona kutarisira kuwana mamwe mashoko.)

exp_internal [-f file] kukosha
inokonzera mimwe mitemo yekutumira ruzivo rwekuongorora ruzivo mukati kuti Tarisira kuti stderr kana kukosha kusina zero. Izvi zvinokanganiswa kana kukosha kuripo. Mashoko ehutano hunosanganisira maitiro ose anogamuchirwa, uye kuedza kwose kunoitwa kuti kuenzanise ikozvino kubuda maererano nemaitiro.

Kana iyo faira yaunosarudza inopiwa, zvose zvinowanzoitika uye kubvisa zvinyorwa zvakanyorwa kune iyo faira (pasinei nehuwandu hwekukosha ). Chero chipi chepakutanga chiongorori chekubudisa faira chakavharwa.

I-mureza wepane inokonzera exp_inze kuti inodzore kutsanangurwa kwezvinoitika zvisiri izvo zvisinganzwisisi nharo dzakapiwa.

exp_open [args] [-i spawn_id]
inodzorera Tcl faira chicherechedzo iyo inofananidzwa neyakatanga spawn id. Itairi yefaira inogona kushandiswa sekunge yakazarurirwa nemutemo wakasununguka waTcl. (Zita rekudzivirira harifaniri kushandiswa.Kumirira hakufaniri kuitwa.

Mureza -leaveopen unosiya mukana wokuzarura kuti upiwe kuburikidza Tarisira mirairo. Chimiro chinofanirwa kuurayiwa pane id idzo.

exp_pid [-i spawn_id]
inodzorera dhidhi inoenderana neyozvino yakagadzirwa. Kana i -i mureza inoshandiswa, pid yakadzoka inofanana neyo yepa spawn id.

exp_send
is anasas for sending .

exp_send_error
iri mhando yekutumira_error .

exp_send_log
is anasas for send_log .

exp_send_tty
is anasas for send_tty .

exp_send_user
is anasas for send_user .

exp_version [[-exit] version]
inobatsira pakutsigira kuti script inopindirana neshanduro yezvino yeTarisira.

Pasina nharo, ikozvino yemaonero ekutarisira inodzorerwa. Iyi shanduro inogona kuve yakanyorwa mune script yako. Kana iwe unonyatsoziva kuti hausi kushandisa zvigadzirwa zvemazuva ano, iwe unogona kutsanangura shanduro yapfuura.

Zviratidzo zvinosanganisira nhamba nhatu dzakaparadzaniswa nematombo. Chokutanga ndiyo nhamba huru. Magwaro akanyorwa kune zvinyorwa zveAtarisira nehuwandu huwandu huchaita zvisingashande. exp_version inodzorera kukanganisa kana nhamba huru dzisingabatanidzi.

Yechipiri ndiyo nhamba duku. Magwaro anyorerwa shanduro ine nhamba duku kudarika iyo yemazuva ano inogona kuvimba nezvimwe zvitsva uye zvingasaiti. exp_version inodzorera kukanganisa kana nhamba huru dzichikwikwidza , asi nhamba duku ye script yakakura kudarika iyo inotarisira Tarisa .

Chechitatu iyo nhamba isingabatanidzi muchikamu chekuenzanisa. Zvisinei, zvinowedzera apo Chinotarisira kugoverwa kwepurogiramu kunoshandiswa nenzira ipi zvayo, yakadai nedzimwe nyumburu kana kugadziridza. Inodzorerwazve ku-0 pane imwe neimwe zvinyorwa zvitsva.

Ne- regai mureza, Tarisira kutarisa chikanganiso uye kubuda kana shanduro yacho isati yabva.

tarisira [[-pts] pat1 muviri1] ... [-opts] patn [bodyn]
anomira kusvikira imwe yematongerwo akafananidza kubudiswa kwegadziriro inobviswa, nguva yakatarwa yapfuura, kana kuguma-kwe-firimu kunoonekwa. Kana muviri wekupedzisira usina chinhu, unogona kuregererwa.

Mienzaniso kubva kune yakangotarisira inotarisira-kunze kwemurairo inoshandiswa zvakakwana kusati yamboita dzimwe nzira. Mienzaniso kubva kune yakangotarisirwa- inotevera mushure inoshandiswa zvakajeka mushure meimwe mimwe miitiro.

Kana zvikonzero kumashoko ose anotarisira zvinoda zvinopfuura imwechete, mhedzisiro yese inogona "kuiswa" mune imwechete kuitira kuti usapedzisa mutsara mumwe nomumwe nekudzoka shure. Muchiitiko ichi chimwe, chiitiko chinowanzoitwa Tcl kushandiswa chichaitika pasinei nehuturo.

Kana purogiramu ishoko rekutanga , ezvo muviri unobatanidzwa pamagumo-e-file. Kana purogiramu ndiro keyword timeout , mutumbi unofanirwa unoitwa panguva yakatarwa. Kana pasina nguva yekushandiswa kwemashoko inoshandiswa, chisingaiti chakaitwa chisingaiti chinoshandiswa pane nguva. Nguva yakatarwa nguva yekutsvaga maminitsi gumi asi inogona kuiswa, somuenzaniso kusvika 30, nemirairo "set timeout 30". Nguva isina kukwana inotora nguva inogona kusarudzwa nenhamba -1. Kana purogiramu ishoko rinokosha risina kukwana , mutumbi unofanirwa unoitwa chero nguva kana nguva yekupedzisira-yefaira.

Kana mufananidzo unofananidzwa, ipapo muviri unofanirwa unoitwa. vanotarisira kutarisa chibereko chemuviri (kana tambo isina chinhu kana hapana chimiro chakafanana). Muchiitiko apo maitiro akawanda akafanana, iyo inowanzotanga inoshandiswa kusarudza muviri.

Nguva imwe neimwe nguva itsva zvayo inosvika, inofananidzwa nemufananidzo wega wega mune zvakarongwa. Nokudaro, iwe unogona kuedza kusavapo kwemutambo nekuita purogiramu yekupedzisira chimwe chinhu chakavimbiswa kuonekwa, chakadai sechimbichimbi. Mamiriro ezvinhu apo pasina pakarepo, unofanirwa kushandisa nguva yekupedzisira (sezvaunoda iwe kana iwe uchitaurirana nemunhu).

Mienzaniso inotsanangurwa nenzira nhatu. Nokutadza, mapepa anotsanangurwa sekuti ane Tcl's tambo yemutambo command. (Maitiro akadaro akafanana neC-shell nguva dzose zvinowanzozivikanwa se "glob" maitiro). I- gl mureza inogona kushandiswa kudzivirira mapurogiramu angangodaro akatarisana anotarisira mapegi kuti asadaro. Chero nzira inotanga ne "-" inofanira kuchengetedzwa nenzira iyi. (Mitsara yose inotanga ne "-" yakachengeterwa zvingasarudzwa munguva yemberi.)

Semuenzaniso, chikamu chinotevera chinotsvaka kubudirira kwekupinda. (Cherechedza kuti kubvisa kunofungidzirwa kuva nzira inotsanangurwa pane imwe nzvimbo mune script.)

Tarisira [busy] iwe wakaita basa \ n; exp_continue} yakakundikana kubvisa "risina kubhadhara password" kubvisa nguva yakabatana yakabatana)

Zvinyorwa zvinotarisirwa pachina yechina sezvo iine nzvimbo, iyo inogona kuparadzanisa muenzaniso kubva pachiito. Maitiro ane chiito chakafanana (chakadai chechitatu uye 4) anoda kutora zvinyorwa zvezviito zvakare. Izvi zvinogona kudzivisa nekushandisa regexp-style maitiro (ona pasi apa). Mamwe mashoko pamusoro pekuumba maitiro emagariro ega anogona kuwanikwa mubhuku reTcl.

Regexp-style maitiro anotevera izwi rechirevo rinotsanangurwa neTcl 's regexp (shoma nokuda kwe "nguva dzose"). regexp mararamiro anounzwa nemureza -re . Muenzaniso wekare unogona kunyorwa zvakare uchishandisa regexp se:

Tarisira [busy] iwe wakaita basa \ n; exp_continue} -re "yakakundikana | invalid password" abort timeout abort connected)

Zvose zviri zviviri mapepa "hazvina kufanana". Izvi zvinoreva kuti mapurogiramu haafaniri kuenzanisa tambo yose, asi anogona kutanga uye kupedzisa mutsara chero muchetere (chero bedzi zvimwe zvose zvinowirirana). Shandisa ... kufanana nekutanga kwetambo, uye $ kuti ufanane nemagumo. Cherechedza kuti kana iwe usingamirire kuguma kwetambo, mhinduro dzako dzinogona kupedzisira dzave pakati petambo sezvo dzichibvumirana kubva pakurongwa. Kunyange zvazvo uchiri kubudisa maitiro akakwana, zvabuda zvinogona kutarisa zvisiri izvo. Nokudaro, kushandiswa kwe $ kunokurudzirwa kana iwe uchigona kunyatsotsanangura mavara pamucheto wetambo.

Cherechedza kuti mune vazhinji vanyori, i ^ uye $ inofananidzwa kutanga nekuguma kwemitsara zvichienderana. Zvisinei, nokuti vanotarisira haisi mutsara unotarisana, vanhu ava vanofananidza kutanga nekumagumo kwe data (zvisingaenzaniswi nemitsetse) iye zvino muchitarisiko chakafananidza chinotarisana. (Uyewo, ona chinyorwa pasi apa pane "system indigestion.")

Iko-kunze kwebhanhire inokonzera kuti purogiramu ienzane se "chinyatso" chingwe. Hapana dudziro ye *,,, etc., yakaitwa (kunyange zvazvo inowanzoitwa Tcl magungano inofanira kuonekwa). Maitiro akajeka nguva dzose haana kubvumirana.

I-- nocase flags inokonzera mavara evanhu vakawanda ekuenzanisa kuti vaenzanise sekuti vakanga vari vanyoro vashoma. Icho muenzaniso hachina kugadziriswa.

Paanenge achiverenga zvakabudiswa, zvinopfuura 2000 bytes zvinogona kumanikidzira kare kare kuti "dzakanganwika". Izvi zvingashandurwa nebasa match_max . (Cherechedza kuti tsika dzakakura zvakanyanya dzinogona kuderedza mutambi wemuenzaniso.) Kana patlist iri full_buffer , muviri unofanirwa kuurawa kana kufanana_max bytes zvakagamuchirwa uye hapana dzimwe maitiro akafananidzwa. Zvichida kana kwete izwi rechizere-rebhubhu rinoshandiswa, vanhu vakanganwa vakanyorerwa kutarisira_out (buffer).

Kana patlist ichinyorwa chisina kusimba , uye nulls inobvumirwa (kuburikidza nemirairo ye- remo_nulls ), mutumbi unofanirwa unourawa kana imwe ASCII 0 ichienzaniswa. Hazvikwanisi kuenzanisa 0 bytes kuburikidza neglob kana regexp mararamiro.

Pakufananidza chimiro (kana eof kana full_buffer), chero yakakodzana uye yekare isina kuenzaniswa yakachengetedzwa yakachengetedzwa pane zvinotarisirwa kutarisira_out (buffer) . Kusvikira 9 regexp mutsara inoshandiswa mune zvitsva kutarisira_out (1, tambo) kuburikidza nekutarisira_out (9, tambo) . Kana i -iyo mireza inoshandiswa isati yasarudzwa, mapeji ekutanga uye ekupedzisira (mune fomu yakakodzera lrange ) yemaketani gumi anochengetwa muzvimwe zvinotarisira wait_out (X, kutanga) uye kutarisira_ku (X, kuguma) apo X chidimbu, inofananidzwa nechinzvimbo chechikamu chemukati. 0 inoreva zvidzidzo zvinoenderana nehuwandu hwose uye zvinokonzerwa netsika dzepasi uye regexp maitiro. Somuenzaniso, kana nzira yakabudisa zvakabuda "abcdefgh \ n", mhinduro ye:

tarisira "cd"

yakaita sokuti mashoko aya anotevera akauraya:

sarudza kutarisira_ku (0, tambo) cd wait wait_out (buffer) abcd

uye "efgh \ n" inosara mumugumisiro wekubuda. Kana shanduro yakabudisa zvakabudiswa "abbbcabkkkka \ n", mugumisiro we:

tarisira -zvinyorwa -re "b (b *). * (k +)"

yakaita sokuti mashoko aya anotevera akauraya:

sarudza kutarisira_ku (0, kutanga) 1 tarisira kutarisira_ku (0, kuguma) 10 tarisira kutarisira_ku (0, tambo) bbbcabkkkk kutarisira wait_out (1, kutanga) 2 tarisira kutarisira_ku (1, kuguma) 3 tarisira kutarisira_ku (1, tambo) bb wait expect_out (2, tanga) 10 tarisira kutarisira-uye (2, kuguma) 10 tarisira kutarisira-uye (2, tambo) k rangarisira_ku (buffer) abbbcabkkkk

uye "a \ n" yakasara mumutambo wekubuda. Muenzaniso "*" (uye -re ". *") Ichasvitsa zvinyorwa zvisingatauri zvimwe zvinowanikwa kubva muitiro.

Kazhinji, iyo inofanirwa kubudiswa inodzingwa kubva kune Target's internal buffers. Izvi zvinogona kudziviswa nekugadzira chimiro ne-- notransfer flag. Iyi mureza inobatsira zvikuru mukuedza (uye inogona kushandurwa "-singa" nyore nyore paunenge uchiedza).

Izvo zvinokonzera mairi zvinosanganiswa nehutano hunokonzerwa (kana eof kana full_buffer) zvakachengetwa mukutarisira_out (spawn_id) .

Iyo -timeout flag inokonzera kuti ikozvino inotarisira murairo kushandisa shanduko inotevera sekuvhara kwemazuva panzvimbo yekushandisa kukosha kwekushanduka kwenguva.

Nokutadza, mapepa anowirirana kurwisana nehuwandu hwezvinoitwa, asi i -i mureza inotsanangura zvakabuda kubva kune zita rinonzi spawn_id ringafananirana neipi ipi inotevera maitiro (kusvika kune inotevera -i ). Inzvimbo ye-spawn_id inofanira kuva ye-whitespace yakasiyana-siyana nhamba ye spawn_ids kana shanduko inotaura nezverwendo rwakadaro rwe spawn_ids.

Somuenzaniso, muenzaniso unotevera unomira kuti "hubatanidzwe" kubva pane zvauri kuita, kana kuti "zvakabatikana", "zvakakundikana" kana "kusina kubhadhara password" kubva ku spawn_id inonzi $ proc2.

tarisira {-i $ proc2 zvakabatikana {unoita basa \ n; exp_continue} -re "yakakundikana | invalid password" abort timeout abort connected)

Kukosha kwepasi rose kushandiswa chero_spawn_id kunogona kushandiswa kufanana nemaitiro kune chero spawn_ids ayo anonzi nemamwe ose- mairagi mune ikozvino kutarisira murairo. The spawn_id kubva kune-mureza usina maitiro akabatanidzwa (kureva, akazotevera pakarepo nomumwe -i ) anowanikwa kune dzimwe mimwe mikana mubasa rimwechete rinotarisirwa rinosanganiswa ne any_spawn_id.

I-mureza inogonawo kutumidza shanduko yepasi rose iyo iyo iyo shanduko inowanikwa nokuda kwezita re spawn ids. Iyo inoshandiswa inoverengwa zvakare kana ichichinja. Izvi zvinopa nzira yekushandura iyo I / O chinyorwa apo mutemo uri kuitika. Spawn ids dzakapiwa nenzira iyi dzinonzi "zvisina kuratidza" spawn ids.

Zviito zvakadai sokuputsa uye kuramba zvichiita zvinokonzera kudzora zvivako (kureva, nokuda ,, proc ) kuzvibata nenzira inowanzoitika. Murairo exp_pinue unobvumira kutarisira pachawo kuramba uchiita pane kudzoka sezvaunowanzoita.

Izvi zvinobatsira pakudzivisa zvinoreva loops kana kudzokorora kutaurira zvirevo. Muenzaniso unotevera ndiwo chikamu chechidimbu kuti uite rlogin. The exp_continue inodzivisa kuva nekunyorera chirevo chechipiri chinotarisira (kutarisa chimbichidzo zvakare) kana rlogin inokurudzira password.

tarisira {Password: {stty -echo send_user "password (for $ user) pane $ host:" tarisa_user -re "(. *) \ n" send_user "\ n" tumira "$ wait_out (1, tambo) \ r" stty echo exp_continue} zvisina kururama {send_user "invalid password kana account \ n" exit} timeout {send_user "kushamwaridzana ne $ host yakapedzisira kubuda \ n" kubuda} eof {send_user \ "kushamwaridzana kumusangano kwakakundikana: $ hope_out (buffer)" exit} - re $ prompt}

Semuenzaniso, chikamu chinotevera chingabatsira mubatsiri kutungamira kushandiswa kwatove kwakakwana. Muchiitiko ichi, sarudzo inoiswa mumugwagwa wakajeka. Kana munhu anoshandisa "+", kuchinja kunowedzera. Kana "p" yakamanikidzwa, mamiriyoni anodzorerwa anotumirwa kune imwe nzira, uye kuti "i" inobvumira mutengi kubatana nekuita, nekubvisa kubvisa kubva pane script. Muchiitiko chimwe nechimwe, exp_continue inobvumira ikozvino kutarisira kupfuurira maitiro ekufananidza mushure mokuita chiito chazvino.

stty raw -echo anotarisira_after {-i $ user_spawn_id "p" {send "\ r \ r"; exp_continue} "+" {incr foo; exp_continue} "ini" {interact; exp_continue} "siya" kubuda}

Nokusingaperi, exp_continue resets the timer timeout. Nguva yacho haina kutangazve, kana exp_continue inonzi ne -continue_timer mureza.

tarisa_after [tarisai_args]
mabasa anoenderana nekutarisira- asi kunze kwekuti kana mapurogiramu kubva kune ose anotarisira uye anotarisira- mushure mekugona kufanana, tarisiro yekutarisira inoshandiswa. Onai kutarisira-kunze kwemurairo kuti uwane mamwe mashoko.

kutarisira_mumhanda [tarisai_args]
inotora mhedziso imwechete sezvinotarisira, zvisinei iyo inodzoka pakarepo. Mienzaniso inoshandiswa pose pese mhinduro inosvika. Iko nguva yekuzorora uye kusakoshi hazvina maturo kutarisira_vanzvimbo uye inorambidzwa zvinyararire. Zvimwe zvisingaiti, mutarisiro- murairo webasa unoshandisa kutarisira-mberi uye kutarisira-pashure pemapurogiramu sezvinotarisirwa kuita.

Paunotarisira-zviitiko zvepasiro zviri kuongororwa, kushandiswa kwemashure kwechimwe chinhu chakavhara chivharo. Nhoroondo yekugadziriswa haina kubviswa apo chiito chacho chinopera. Apo kushandiswa kwemashure kuvharwa, zvinokwanisika kuita (foreground) kutarisira kune imwechete id idzo.

Hazvibviri kukwanisa kutarisira apo nguva inotarisira- nzvimbo yepamusoro isingabvumirwi . vanotarisira-nzvimbo yevhisi imwe yefa inobviswa nekuzivisa chimwe chimiro chinotarisira-nzvimbo yepamusoro ine imwechete id idhi. Kutaridzira kutarisira-nzvimbo isina ruzivo isina kubvisa chipa chakapiwa chinonzi spawn kubva pakukwanisa kuenzanisa maitiro kumashure.

tarisira-pamberi [tarisai_args]
inotora mhedziso imwechete sezvinotarisira, zvisinei iyo inodzoka pakarepo. Muenzaniso- miitiro miviri kubva kune yakangotarisira kutarisira- pamberi peiyo id idzo inowanikwa zvakajeka kune chero ipi zvayo inotevera kutarisira mirairo. Kana mufananidzo unofananidzwa, unorapwa sekunge wakanga wataurwa mumutemo wakatarisira pachako, uye iyo inobatanidza iyo inoshandiswa mumamiriro ekuraira kwekutarisira. Kana zvikwata kubva kune zvose zvinotarisira-zvisati zvaitika uye zvinotarisirwa zvinogona kufanana, vanotarisira-pamberi pemaitiro inoshandiswa.

Kana pasina purogiramu inotsanangurwa, id idzo haisi kutarirwa chero mapepa.

Kunze kwekunge kwakapfurikidzwa ne -i mureza, tarisira-pamberi pemapurogiramu mutsanana nechokudzivirira chiziviso chinotsanangurwa panguva iyo inotarisira_pamusoro pemurairo ichinge yaurayiwa (kwete kana purogiramu yayo yakaenzana).

I-mureza wepane inokonzera kutarisira-tisati tadzorera izvo zvataurwa zvino zvekuti zvii zvaizoenderana . Nokusingaperi, inoratidzira pamusoro peiyo yemahara id id. Chinhu chinosarudzwa chinoparadzanisa id idzo chinogona kupiwa kuti uwane ruzivo pamusoro peiyo id idhi. Semuyenzaniso

tarisira-pane -info -i $ proc

Pamusoro peiyo imwe spawn id specification ingapiwa. Mureza -kururamisa kunoderedza zvakananga spawn ids dzinouya kubva pane zvisiri izvo zvakananga.

Panzvimbo pekutsvaga mazita, mureza "-zvose" zvichaita "-info" kuti ipe nehuwandu pamusoro pezvose zvinokonzera mazai.

Kubudiswa kwe-mureza wepfo kunogona kushandiswa zvakare sekukakavara kutarisira-zvisati zvaitika.

wait_tty [wait_args]
yakafanana nekutarisira asi inoratidzirwa vanhu vanobva ku / dev / tty (kureva magetsi kubva kumushandi). Nokusingaperi, kuverenga kunoitwa muyakagadzirwa. Nokudaro, mitsetse inofanira kupera nekudzoka kuitira kutarisira kuvona. Izvi zvingashandurwa kuburikidza nematombo (ona mutemo wezasi pasi).

hope_user [expect_args]
yakafanana nekutarisira asi inoratidzirwa vanhu vanobva ku stdin (kureva keystrokes kubva kumushandi). Nokusingaperi, kuverenga kunoitwa muyakagadzirwa. Nokudaro, mitsetse inofanira kupera nekudzoka kuitira kutarisira kuvona. Izvi zvingashandurwa kuburikidza nematombo (ona mutemo wezasi pasi).

fork
inogadzira nzira itsva. Iyo nzira itsva ndiyo iko chaiyo ikozvino inotarisira nzira . Pakubudirira, mahwendefa anodzokera 0 kune itsva (mwana) uye anodzorera hutano hwehutano hwehutano hwemwana kumubereki. Kukundikana (nguva dzose nekuda kwekushayikwa kwezvinhu, semuenzaniso, kupatsanurana nzvimbo, mhemberero), mafambiro eforogo -1 kumubereki, uye hapana mwana anogadzirwa.

Nzira dzakagadziriswa dzinobuda kuburikidza nemurairo wekubuda , sekuita kwepakutanga. Maitiro akafukidzwa anobvumirwa kunyorera kumafaira egi. Kana iwe usingadziviriri kukanganisa kana kupinda mukati memaitiro akawanda, chigumisiro chinogona kuvhiringidza.

Dzimwe pty zvinoshandiswa zvinogona kuvhiringidzika nevaverengi vakawanda nevanyori, kunyange nguva pfupi. Nokudaro, yakachengeteka kudhikira musati waita mbeu.

kubatana [string1 body1] ... [stringn [bodyn]]
inopa kudzora kwezvinoitika kumushandisi, kuitira kuti zvipfeko zvinotumirwa kune ikozvino, uye stdout uye stderr yemaitiro iye zvino anodzorerwa.

Mutsara wepamuviri angarondedzerwa semafungiro, mumamiriro ezvinhu apo muviri unourawa kana tambo yakatarisana inopinda. (Nekutadza, tambo haina kutumirwa kumagadzirirwo aripo iye zvino.) Muturikiri wekuraira inofungidzirwa, kana muviri wekupedzisira uripo.

Kana nharo dzemashoko ose ekubatanidza zvinoda mutsara mumwe chete, mhedzisiro dzose dzingave "dzakasungwa" mune imwechete kuitira kuti usapedzisa mutsara mumwe nomumwe nekudzoka shure. Muchiitiko ichi chimwe, chiitiko chinowanzoitwa Tcl kushandiswa chichaitika pasinei nehuturo.

Semuenzaniso, murairo unotevera unoshanda nekubatana kweiyuniti-miviri miviri yakatsanangurwa: Kana ^ Z ichinetswa, Tarisira imiswe. (I- resetseti yemureza inodzosera iyo miviri yekugadzirisa.) Kana ... A inomanikidzwa, mushumiri anoona "iwe wakanyora u-A" uye iyo inotumirwa ... A. Apo $ inodzvinyirirwa, mushumiri anoona zuva racho. Apo ... C inomanikidzirwa, Tarisira kutora . Kana "foo" inopinda, munhu anoshandisa "bar". Apo ~~ inomanikidzwa, Anotarisira muturikiri anofambisa mukati.

chengetai CTRLZ \ 032 kuwirirana {-reset $ CTRLZ {exec kill -STOP [pid]} \ 001 {send_user "iwe wakanyora u-A \ n"; tumira "\ 001"} $ {send_user "Zuva iri [maawa mashizha [maawa masikati]."} \ 003 bvisa foo {send_user "bar"} ~~}

Mumaketani-muviri miviri, tsvimbo dzinofananidzwa muchirongwa chavanorongerwa sezvikonzero. Zvisungo zvisingabatanidzi zvakatumirwa hazvina kutumirwa kune ikozvino kuitika mukufungidzira kwezvasara zvinouya. Kana vanhu vakabva vapinda zvakadai zvokuti hazvikwanisi kuva mutsva, chete chikamu chetambo chichaendeswa kune nzira isingagoni kutanga imwe mutsara. Nokudaro, zvidzitiro izvo zvikamu zvezvikamu zvisingasaruri zvinogona kuenzanisa gare gare, kana tsvimbo dzepakutanga dzakanga dziri kuedza kutarisana pakupedzisira dzinokundikana.

Nokutadza, tambo inowirirana ndeyechokwadi uye pasina makadhi . (Kusiyana, mutemo unotarisira unoshandisa maitiro-maitiro nemaitiro asina kukwana.) I-iyo firimu inogona kushandiswa kuchengetedza mapepa angangodaro aenzanidza mapegi ekubatanidza kuita saizvozvo. Chero nzira inotanga ne "-" inofanira kuchengetedzwa nenzira iyi. (Mitsara yose inotanga ne "-" yakachengeterwa zvingasarudzwa munguva yemberi.)

I-mureza inomanikidza tambo kuti ichishandurwe sewe regexp-style pattern. Muchiitiko ichi, kuenzanisa substrings kunochengeterwa kushandurana kunobatanidza_kufanana nenzira iyo inotarisira kuchengetedza zvayo muzvitsvaga kutarisira_out . I -iyo mireza inotsigirwa saizvozvo.

Iyo yeef eve inotanga chiito chinourawa pamagumo-e-file. Chimwe chifananidzo cheefodhi chingave chinogonawo kutevera-- kubudisa firimu pane imwe nguva iyo inofananidzwa kana eof inowanikwa ichiri kunyora. Izvo zvisingaiti eof action ndeye "kudzoka", kuitira kuti kubatanidza kungodzoka pane chero EOF.

Iko nguva inotanga nguva inotanga nguva (mumasekondi mashoma) uye chiito chinourawa mushure mokunge vasiri verengi vakaverengerwa kwenguva yakatarwa. Iko nguva yekugara inoshanda kune yakangodaro yakarongwa. Hapana nguva yekugara yakakwana. Iyo yakasiyana-siyana yakasiyana-siyana yokuti "timeout" (inoshandiswa nemirairo inotarisira ) haigoni kuitika panguva ino.

Semuenzaniso, mazwi anotevera anogona kushandiswa kuvashandisi ve autologout vasina kutora chero chinhu kweawa asi vachiri kuwanzoita maitiro ehurumende:

inobatanidza-inoshandisa $ user_spawn_id timeout 3600 kudzoka -output \ $ spawn_id

Kana iyo iyo ndiyo nheyo yekinyorwa pasina , uye nulls inobvumirwa (kuburikidza nemirairo ye- remo_nulls ), mutumbi unobatanidzwa unourawa kana imwe ASCII 0 ichienzaniswa. Hazvikwanisi kuenzanisa 0 bytes kuburikidza neglob kana regexp mararamiro.

Kugadzira chimiro nemureza -kunyora kunokonzera kushandurana- interactive_out (spawn_id) kuiswa kune spawnid iyo inofananidzwa nemufananidzo (kana eof).

Zviito zvakadai sokuputsa uye kuramba zvichiita zvinokonzera kudzora zvivako (kureva, nokuda ,, proc ) kuzvibata nenzira inowanzoitika. Zvisinei, kudzorera kunokonzera kubatanidza kudzokera kumunhu akadana, asi inter_return inokonzera kuwirirana kukonzera kudzoka kune iyo inoshevedza. Semuenzaniso, kana "proc foo" inodanwa kuwirirana iyo yakabva yaita chiito inter_return , proc foo yaizodzoka. (Izvi zvinoreva kuti kana kuwirirana kudana muturikiri mukati mekunyora kudzoka kuchaita kuti kubatana kuenderere mberi, apo inter_return ichaita kuti mutauriro udzokere kune munhu akadana.)

Pakukurukurirana, nzira yakasvibirira inoshandiswa kuitira kuti vanhu vose vanyatsopedzisira vapfuurirwe purogiramu yemazuva ano. Kana iyo yakagadziriswa isati isatora zviratidzo zvekuita basa, inomira kana yakatumira chiratidzo chekumira (nekusara ^ Z). Kuti uitangezve, tumira ramba uchiendesa chiratidzo (sekuti "kuuraya -CONT"). Kana iwe unonyatsoda kutumira SIGSTOP kune imwe nzira (ne ^ Z), funga kubereka csh kutanga wozoita purogiramu yako. Kune rumwe rutivi, kana iwe uchida kutumira SIGSTOP kuti Uzvitarise pachako, tangaidza kududzira mutauro wekutanga (zvichida uchishandisa mudziyo wekudzivirira), uye pfuurira ^ Z.

Mitsara miviri inogona kushandiswa senhombodo yekudzivisa kuve nekupinda muturikiri uye kuita mirairo mukati mega. Iyo yakagadziriswa yakagadziriswa mode inoshandiswa apo muviri wemutambo-mutumbi unoitwa.

Nekukurumidza, zviito zvinotanga mumugwagwa wakajeka kuburikidza. I-mureza wekomba inodzokorora iyo yekugadzirisa kuenda kune imwe nzira iyo yaive nayo asati agadzirisana yakaurayiwa (nguva dzose, yakagadzirwa). Cherechedza kuti vanhu vanopinda kana mamiriro ezvinhu ari kushandiswa angave akarasika (inosuruvarisa chikamu chemugadziri wekupedzisira pane dzimwe sangano). Chikonzero chega chekushandisa -kugadzirisa ndechokuti chiito chako chinoenderana nekushanda mumoto wakagadzirwa.

I- iyo mireza inotumira mifananidzo inofanana neyo inotevera nzira kudzokera kune imwe nzira iyo yakavaita semunhu wese akaverengwa. Izvi zvingave zvinobatsira kana musarudzo achida kuona mhinduro kubva pane zvinyorwa zvepakati.

Kana purogiramu iri kutumirwa asi pakupedzisira inokundikana kufanana, nhamba dzinotumirwa kune yakagadzirwa. Kana iyo yakagadziriswa ichiita kuti ivakurudzire, mushandisi achaona vanhu vacho kaviri. -cho zvichida yakakodzera mumamiriro ezvinhu apo mushandisi anenge asingaiti kuti apedze mufananidzo. Semuenzaniso, inotevera inotevera inobva kuRftp, re-recursive-ftp script, iyo inoshandiswa nemuiti kupinda ~ ~ g, ~ p, kana ~ ~, kuwana, kuisa, kana kunyorera iyo manyorerwo yemazuva ano zvakare. Izvi zvakanyanya kure nemirairo yeplp ftp, kuti musikana haafaniri kutora ~ achitevera chero chimwe chinhu, kunze kwekukanganisa, mumamiriro ezvinhu akadaro, vangangodaro vasingateereri mhinduro yacho zvakadaro.

kubatana {-echo ~ g {getcurdirectory 1} -echo ~ l {getcurdirectory 0} -echo ~ p {putcurdirectory}}

I-- nobuffer mureza inotumira mavara anofanana nemuenzaniso unotevera kusvika pakubuda kwemitemo sevanhu vari kuverengwa.

Izvi zvinobatsira kana iwe uchida kubvumira purogiramu kuti idzoke zvakare. Semuenzaniso, zvinotevera zvinogona kushandiswa kutarisa apo munhu ari kudha (a Hayes-style modem). Nguva imwe neimwe "atd" inoonekwa magwaro ezvinyorwa zvese zvakasara.

proc lognumber {} {interact -nobuffer -re "(. *) \ r" kudzoka kunokonzera $ log "[maawa maawa [maawa masikati]]: akadanwa $ interact_out (1, tambo)"} kuwirirana -nobuffer "atd" lognumber

Panguva yekubatana , kushandiswa kwekare kwe log_user hakunzwiki . Kunyanya, kuwirirana kuchaita kuti zvisizvo zviitwe kuti zvigadziriswe (zvakatumirwa kuhuwandu hwezvakabuda) sezvo zvichifungidzirwa kuti user haadi kushamwaridzana.

I-mureza wacho unokonzera chero hupi hunotevera hutano-huviri huviri hunoshandiswa kune zvakabuda parizvino. Izvi zvinogona kubatsira, somuenzaniso, paunenge uchitarisana nevakuru vanoendesa zvinyorwa zvisingadikanwi panguva yechikamu chetelnet.

Nokutadza, kuwirirana kunotarisira kuti mudzidzi ave kunyora stdin uye kuverenga stdout yeAnotarisira purogiramu pachayo. I-mureza (nokuda kwe "user") inobatanidza kutarisa kumushandi senzira inodanwa nenharo yayo (iyo inofanira kunge yakave yakagadzirwa).

Izvi zvinobvumira zvirongwa zviviri zvisina kubatana kuti zvibatanidzwe pamwe pasina kushandura tsvina yakajeka. Kuti ubatsire mukugadzirisa matambudziko, Tarisira kugadziriswa nguva dzose enda kune stderr (kana kuti stdout pane mamwe mabhuku uye kugadzirisa mashoko). Nokuda kwechikonzero chimwe chete, muturikiri wekuraira achaverenga pamwe chete kubva ku stdin.

Semuenzaniso, chikamu chinotevera chinogadzira nzira yekutumira. Zvadaro inodhara mutengi (kwete kuratidzwa), uye pakupedzisira inobatanidza vaviri pamwe chete. Zvechokwadi, chero nzira inogona kuiswa panzvimbo yekutumira. A shell, somuenzaniso, inobvumira mushandisi kushanda pasina kupa account ne password.

spawn login set login $ spawn_id spawn tip modem # dial back to user # connect user to login interaction -u $ login

Kutumira zvinowanikwa kune zvakasiyana-siyana zvinoronga, nyora imwe neimwe yechirwere chekutsvaga chinyorwa chakatangwa ne-- pepeti pevara. Kuiswa kweboka rekubudisa spawn ids kunogona kutsanangurwa ne-spawn id list yakatangwa ne -kuti mureza. (Zvinyorwa zviviri -kunyora uye -kunyora kunogona kutora mazita nenzira yakafanana ne--i mureza mumutambo unotarisira , kunze kwekuti chero-cheropa_spawn_id haina kufanirwa mukubatana .) Zvose zvinotevera miregi nemaketani (kana mapeti) zvinoshanda pane izvi zvinoshandiswa kusvikira imwe - muganhu mureza inowanikwa. Kana kusina-kuonekwa, -kuita zvinoreva "-kureva $ user_spawn_id -output". (Saizvozvowo, nemapurogiramu asina. -kureva .) Kana imwe-iyo inotsanangurwa, inodarika $ user_spawn_id. Kana chikamu chechipiri chichatsanangurwa , chinopfuura $ spawn_id. Zvimwe-zvigadzirwa zvemapapu zvinogona kutaurwa.

Izvo zviviri zvinoreva maitiro ekutsvaga asina kukwana kuti ave nemigumisiro yakataurwa se $ spawn_id uye $ user_spawn_id (mumashure). Kana -kuti firimu inoratidzika isina-mureza, vanhu vanobva ipapo vanobviswa.

I-mureza inotanga kushandiswa kweiyo manje spawn_id apo pasina imwe -kuti kana-- kubudisa mabhogi anoshandiswa. A-mureza anoreva--o mureza.

Zvinokwanisika kuchinja zvirongwa zvirikubatanidzwa pamwe nekushandisa zvisina kukwana spawn ids. (Indirect spawn ids inorondedzerwa muchikamu chekuraira kwekutarisira.) Izvo zvisina kunaka spawn ids inogona kutaurwa ne -i, -u, -kuta, kana--kubudisa mapegi.

muturikiri [arg]
inokonzera kushandiswa kwevashandi kuti vatevedzere kutungamirirwa nemirairo yeTarisira uye Tcl. Chigumisiro chemurairo wega wega unodhindwa.

Zviito zvakadai sokuputsa uye kuramba zvichiita zvinokonzera kudzora zvivako (kureva, nokuda ,, proc ) kuzvibata nenzira inowanzoitika. Kunyange zvakadaro kudzoka kunokonzera muturikiri kudzokera kumunhu akadana, asi inter_return inokonzera muturikiri kukonzera kudzoka kune mumiririri wayo. Somuenzaniso, kana "proc foo" inonzi muturikiri uyo akazoita chiito inter_return , proc foo yaizodzoka. Chero umwe mutemo unokonzera muturikiri kuti arambe achikurudzira mitemo mitsva.

Nokusingaperi, iyo inokurudzira ine nhamba mbiri. Nhare yekutanga inotsanangura kubwinya kwekuongorora kwepakisi (kureva, kazhinji sei Tcl_Eval yakadanwa). Yechipiri kuwedzera ndiyo Tcl nhoroondo yekuziva. Iko kukurumidza kunogona kugadziriswa nekutsanangura nzira inonzi "prompt1" iyo iyo inodzoka kukosha inova inotevera inokurumidza. Kana chirevo chikazarura zvinyorwa, parens, braces, kana mabhokoni, kukurumidza kwechipiri (nekutadza "+>") kunobudiswa pane newline. Chirongwa chechipiri chinogona kugadziriswa nekutsanangura nzira inonzi "prompt2".

Panguva yekududzira , iyo yakagadzirwa yakagadzirwa inoshandiswa, kunyange kana iyo inoshevedza iri iri kushandisa yakasvibirira.

Kana stdin ikavharwa, muturikiri achadzoka kunze kwekuti- yeflegi inoshandiswa, mumamiriro ezvinhu iyo mhirizhonga inotevera inoshandiswa.

log_file [args] [[-a] file]
Kana zita refaira rapiwa, gwaro re-log rinonyora chikamu chechikamu (kutanga panguva iyoyo) mufaira. log_file icharamba ichinyorwa kana pasina nharo inopiwa. Chero chepi yepepa yekare yekare yakavharwa.

Panzvimbo pezita remafuta, tcl faira rekushandisa inogona kugoverwa nekushandisa -open kana -leaveopen flags. Izvi zvakafanana nemurairo unobereka . (Ona inowedzera mamwe mashoko.)

I - mureza inoshandiswa kubudiswa iyo yakadzingwa nemirairo ye log_user .

Nokusingaperi, mutemo we_log_logino unowedzera kune mafaira akare panzvimbo pokuvatora, kuitira kuti uve nyore kukwanisa kuvhara uye pane dzimwe nguva muchikamu chimwe chete. Kuti utore mafaira, shandisai -noappend mureza.

I-iyo fani yepafo inokonzera log_file kuti idzorere tsanangudzo yezvino ichangobva kunge isiri yenyaya dzakapiwa.

log_user -info | 0 | 1
Nokutadza, kutumira / kutarisira mharidzo inopinda ku stdout (uye logfile kana yakazaruka). Kugadzirwa kwekugadzirwa kwemagetsi kunovhiringidzwa nemurairo "log_user 0" uye wakagadziridzwa ne "log_user 1". Kuendesa kune logfile hakuchinji.

I-iyo fani yepafo inokonzera log_user kudzorera tsanangudzo yezvino-zvishoma zvisinganzwisisi nharo dzakapiwa.

match_max [-d] [-i spawn_id] [size]
inotsanangura uwandu hwenheyo (mumakumbo) anoshandiswa mukati mazvo nekutarisira. Pasina kukwidza kukakavara, ukuru hwemazuva ano hunodzorerwa.

Ne- dhizha , dhigirii isingaiti yakagadzirirwa. (Iko kusati yamboitika ndeye2000.) Ne--i mureza, ukuru hunoshandiswa kune zita rinonzi spawn id, zvisati zvarongerwa kugadziriro ino.

kuvhara [- # spawn_id] [- # spawn_id] [...]
inoita "purogiramu args" munzvimbo yeiyo zvino Tarisira purogiramu, inogumisa. A bare hyphen argument inomanikidza kuvhara pamberi pezita remurairo sekuti kwaiva yegock shell. Zvose spawn_ids zvakapfigwa kunze kwevaya vanonzi mazano. Izvi zvinongororwa pamatunhu anonzi mafaira.

Spawn_ids yakarongedzwa kuti ipe mafungidziro ehurongwa hutsva hwokugara. Semuenzaniso, rinotevera rinomhanya chess uye rinobvumira kuti ridzorwe nehuwandu hwezvino - taura, chess master.

kuvhara -0 $ spawn_id -1 $ spawn_id -2 $ spawn_id chess

Izvi zvinoshanda kupfuura "kutaurirana -u", zvisinei, inopa kuzvikwanisa kuita shanduro yakarongwa kubvira apo Tarisira hurongwa hahuri kutonga.

Cherechedza kuti hapana chiguniti chekutungamirira chinopiwa. Nokudaro, kana iwe ukabvisa kana kugadzirisa kuiswa kwakakwana, mapurogiramu anoita basa rokutonga (shells, login, etc) haazoshandi zvakanaka.

parity [-d] [-i spawn_id] [kukosha]
rinotsanangura kana hutungamiri hunofanira kuchengetwa kana kubviswa kubva pane zvakabuda mumitambo. Kana kukosha kuripo zero, hutano hwakabviswa, zvisinei hauna kubviswa. Pasina kukosha kukakavadzana, kukosha kwezvino kwadzorerwa.

Ne-mureza, dhigirii yemari yakasarudzwa inogadzirirwa. (Kutanga kusati kwasvika ndiko 1, kureva kuti, hupano hahuna kubviswa.) Ne-mureza, mutengo wehutano wakagadzirirwa zita rainzi spawn id, zvisingaiti rakagadzirirwa hurongwa huripo.

bvisa_nulls [-d] [-i spawn_id] [kukosha]
inotsanangura kana zvisikwa zvakachengetwa kana kubviswa kubva pane zvakabuda pane zvakagadzirwa zvisati zvambofananidzwa kana kuti kuchengetedza pane zvinotarisirwa kutarisira_out kana interact_out . Kana kukosha kuri 1, nulls zvabviswa. Kana kukosha kuripo 0, nulls haibviswe. Pasina kukosha kukakavadzana, kukosha kwezvino kwadzorerwa.

Ne-- dhiradhi , nhamba yekutengesa yakaiswa. (Iko kusati yamboitika ndeye1, kureva, nulls yakabviswa.) Ne-mureza, kukosha kwakagadzirirwa zita rainzi spawn id, zvisati zvarongerwa kugadzirisa ikozvino.

Zvichida kana zvisingaiti zvachose zvabviswa, Tarisira zvichange zvichinyora zvisingabatsiri pane log uye stdout.

tumira [-kukurumidza] tambo
Inotumira tambo kune ikozvino. Somuenzaniso, murairo

tumira "werugare" r "

inotumira vanhu, vanodzivirira kune nzira yazvino. (Tcl inosanganisira printf -sezvinonzi murairo (unonzi maitiro ) izvo zvinogona kuvaka zvigaro zvakaoma.)

Nhamba dzinotumirwa pakarepo, kunyange zvazvo mapurogiramu ane mitsara yakakonzerwa ne-line-buffered haazoverengi mavara kusvikira unhu hunodzoka. Munhu anodzoka anonzi "\ r".

I - mureza inomanikidzira nharo inotevera kuti ichishandurwe seketambo panzvimbo pembendera. Chero tambo inogona kutungamirirwa ne "-" kana kuti kwete chaizvoizvo inoratidzika semureza. Izvi zvinopa nzira yekuvimbika yekutsanangurira tsvimbo dzakasiyana dzisingatongorwi neavo vanoona zvisina kufanira semapuranga. (Mitsara yose inotanga ne "-" yakachengeterwa zvingasarudzwa munguva yemberi.)

I-mureza inotaura kuti tambo inotumirwa kune anonzi spawn_id. Kana icho chinoshandiswa_chinoshandisa_spawn_id, uye chigadziro chiri munzira yakasvibirira, mitsara itsva mumutsambo inoshandurwa kudzoka-zvinyorwa zvitsva kuitira kuti zvionekwe sekuti chigarire chaive chakagadzirwa. I-- raw flag inobvisa shanduro iyi.

I-- firimu firimu inotumira nhamba dzisina maturo (0 bytes). Nokusingaperi, imwe null haina kutumirwa. Nhamba yakawanda inogona kutevera-- null kuratidza kuti vangani nulls kutumira.

I-- break mureza inogadzira chimiro chekuputsa. Izvi zvinongonzwisisika kana id inoburitsa inoreva chidimbu chinowanikwa ne "spawn -open". Kana iwe wakaburitsa nzira yakadai semakumbo, iwe unofanira kushandisa kokorodzano yemagetsi ekugadzira kuputsa.

I - mureza inobatanidza zvakatumirwa kuti iendeswe "zvishoma nezvishoma", saka usapinda mamiriro ezvinhu akafanana apo kombiyuta inotora zvinyorwa zvinogadzirirwa izvo zvakagadzirirwa munhu asingazombotauri zvakafanana. Izvi zvinotungamirirwa nehuwandu hwezvakasiyana "send_slow" iyo inotora maviri element list. Ikokutanga ndiyo integer inorondedzera nhamba yeveteti kutumira atomu. Chinhu chechipiri nhamba chaiye inorondedzera nhamba yemasekondi iyo inotic kutumira inofanira kuparadzaniswa. Somuenzaniso, "sarudza kutumira-zvishoma {10 .001}" inomanikidza "kutumira -s" kutumira zvidzitiro ne 1 millisecond pakati pevanhu gumi gumi vakatumwa.

I-- h flag inomanikidza zvakatumirwa kuti zvitumire (zvimwe) semunhu kunyora kuverenga. Kurambidza kwevanhu-kufanana kunooneka pakati pevanhu. (Iyo sargorithm yakavakirwa pamubhadharo we Weibull, uye kugadziriswa kusangana nemushandisirwo uyu.) Izvi zvinotungamirirwa nehuwandu hwechirevo che "send_human" chinotora zvishanu zvinyorwa. Maviri maviri ekutanga ndiwo nguva yekupindirana kwevanhu mumasekondi. Yokutanga inoshandiswa nekusavapo. Chechipiri inoshandiswa pamagumo emashoko, kuenzanisa kumira kwakanyanyisa kunowanzoitika panguva dzakadaro. Chetatu nharaunda ndeyekuyera kwekusiyana uko .1 yakasiyana-siyana, 1 inokwanisika, uye 10 haigoni kuitika. Izvo zvakanyanyisa ndezvi 0 kusvika kune zvishoma. Mhedziso mbiri yekupedzisira ndeye, maererano, nguva shoma uye yakareba inopindirana. Izvo zvishoma nezvishoma zvinoshandiswa pakupedzisira uye "clip" nguva yekupedzisira. Iyo yakasvibiswa yakawanda inogona kusiyanisa zvakasiyana neyero inowanikwa kana yakaderera uye yakawandisa chikamu chakanaka.

Somuenzaniso, murairo unotevera unomutsa kushingaira uye kusagadzikana chimiro:

chengeta send_humanisi {.1 .3 1 .05 2} tumira -h "Ndine nzara. Ngatiti tidye."

apo zvinotevera zvinogona kuva zvakakodzera zvakapfuura mushure mekuvhara:

sarudza kutuma_humanani {.4 .4 .2 .5 .5 100} tumira -h "Goodd party usiku huno!"

Cherechedza kuti zvikanganiso hazvienzaniswi, kunyange zvazvo iwe unogona kugadzirisa kukanganisa kururamisa mamiriro ako pachako nekuisa mukati mekukanganisa nekugadziriswa mubvunzo wokutumira.

Mipira yekutumira mhando dzisina maturo, dzekutumira kuputika, nekukamanikidza kushandiswa kunononoka uye kwevanhu-style yakagadzirwa zvakabatana. Ndiyo chete iyo yakataurwa yakaguma ichashandiswa. Uyezve, hapana mhirizhonga inogona kutaurwa nemapuranga ekutumira mhando dzisina maturo kana kuputsa.

Icho chirevo chakanaka kutungamira kutanga kutumira kune imwe nzira nekutarisira. tarisira kutarisira kuti danho ritange, apo kutumira hakugone. Kunyanya, kana kutumira kwekutanga kunopera musati watanga kushanda, iwe unomira dambudziko rekuti iwe deta yako isakanganwe. Mamiriro ezvinhu apo mapurogiramu anobatanidza haatauri kutanga nokukurumidza, iwe unogona kutangira kutumirwa nekuderera seku:

# Kuzvidzivirira kupa vanokanganisa kuratidza kuti ungapinda sei, # ino sarudzo haina kukurudzira password yekunze. # Kumirira kwemaminitsi mashanu kuti uite kuti uzadzise temanet tel.secure.gov kurara 5 kutumira password \ r

exp_send is anasas for send. Kana uri kushandisa Expectk kana zvimwe zvimwe zvakasiyana zvaunotarisira munzvimbo yeTk, kutumira kunotsanangurwa neTk nokuda kwechinangwa chakasiyana zvachose. exp_send inowanikwa mukuenderana pakati pezvakatipoteredza. Zvimwe zvakafanana zvinowanikwa kune zvimwe Zvimwe Zvimwe zvinotumira mirairo.

kutumira_error [-kukurumidza] tsanga
yakafanana nekutumira , kunze kwekuti izvo zvakabuda zvinotumirwa ku stderr panzvimbo pezvino.

tumira_log [-] tambo
yakafanana nekutumira , kunze kwekuti tambo inotumirwa kulogiti yegiyo (ona log_file .) Izvo zvinopesana hazvina kunyorwa kana pasina log file iri yakazaruka.

send_tty [-flags] string
yakafanana nekutumira , kunze kwekuti izvo zvakabuda zvinotumirwa ku / dev / tty panzvimbo yezvinoitika.

send_user [-flags] tambo
yakafanana nekutumira , kunze kwekuti izvo zvinotumirwa zvinotumirwa kune stdout panzvimbo yezvino.

kurara zvishoma
inokonzera script kuti avete nokuda kwenhamba inopiwa yemasekondi. Makore echipiri anogona kunge ari nhamba yekupedzisira. Zvinopesana (uye zviitiko zveTk kana uri kushandisa Expectk) zvinoshandiswa paunotarisira kurara.

spawn [args] chirongwa [args]
inogadzira nzira itsva inoshandisa "chirongwa args". Izvo stdin, stdout uye stderr zvakabatanidzwa neAnotarisira, kuitira kuti zviverengwe uye zvinyorwa nezvimwe Tarisira mirairo. Kubatana kwakaputsika nepedyo kana kuti iyo purogiramu pachayo inopfigira chero ipi zvayo mafaira epafaira.

Kana chirongwa chinotanga kuburikidza, chinoshandiswa spawn_id chinoshandiswa kune tsanangudzo inotaura nezvekuita izvozvo. Izvo zvinorondedzerwa ne spawn_id inonzi "ino yemaitiro ". spawn_id inogona kuverengwa kana kunyorwa, pakugadzirisa kupa basa.

user_spawn_id ishanduko yepasi rose ine tsanangudzo inoreva kumushandisi. Semuenzaniso, apo spawn_id yakagadzirirwa kukosha ichi, tarisira unofamba sekutarisira_user .

Ini error_spawn_id ishanduko yepasi rose ine scripptor iyo inoreva kukanganisa kwakazara. Somuenzaniso, apo spawn_id yakagadzirirwa kukosha ichi, kutumira kunoita sekutumira_error .

tty_spawn_id is an variable global containing a descriptor inoreva / dev / tty. Kana / dev / tty isipo (yakadai sekrononi, pa, kana batch script), ipapo tty_spawn_id haina kutsanangurwa. Izvi zvinogona kuedzwa se:

kana [[info vars tty_spawn_id]} {# / dev / tty iripo} zvimwe {# / dev / tty haipo # zvichida mu cron, batch, kana script}

spawn inodzorera UNIX process id. Kana pasina nzira inobviswa, 0 inodzorerwa. The variable spawn_out (muranda, zita) yakagadzirirwa zita rekushandisa pty muranda.

Nokutadza, spawn inobvuma zita rerairo uye nharo. I -noecho mureza inodzivisa spawn kubva pakuita izvi.

I-mureza wesosi inoita kuti tibvumirane nekodzero kuti idzoserwe kune iyo yakagadzirwa. Izvi hazvibatsiri pane zvese zvese.

Pakati, inotanga kushandisa pty, inotangwa nenzira imwecheteyo seyomushandi. Izvi zvinowanzotangwa kuitira kuti zvirongwa zvose zvive "zvakanyanyisa" (maererano neshoko (1)). Kana iyo shanduro stty_init yakatsanangurwa, inotsanangurwa mumutauro wezvinyorwa zvemashoko sekuwedzera kwekugadzirisa. Semuenzaniso, "sarudza stty_init yakasvibiswa" kuchaita kuti humwe hutachiona hutambo huvepo hutange huchitsvaga. -nottycopy inodarika kutanga kwatakabva kune tty yomushandi. -nottyinit skips "sane" kutanga.

Kazhinji, kupisa kunotora nguva shoma yekuuraya. Kana ukacherechedza chikafu chinotora nguva yakawanda, zvinogona kunge zvakasangana nemaptys akabatanidzwa. Mimwe miedzo inoshandiswa ptys kudzivisa kupinda mumatambudziko nematambudziko. (Izvi zvinotora masevhisi gumi pamusi weped wedty.) Running Tarisira ne--sarudzo icharatidza kana Tarisira inosangana nevakawanda ptys mune zvisinganzwisisiki. Kana iwe usingakwanisi kuuraya nzira iyo idzi ptys dzakanamatirwa nayo, kungoita zvako chete kunogona kunge kuri kutanga.

Kana purogiramu isingagoni kubudiswa kubudirira nokuti kuita (2) kunokundikana (semuenzaniso apo purogiramu isipo), shoko rekukanganisa richadzoserwa nechinotevera chibatanidza kana kutarisira murairo sekuti purogiramu yakanga yatanga uye yakabudisa shoko risina kururama sekubuda. Iyi miitiro inowanzoguma yekushandiswa kwe spawn . Mukati, inokonzera mafoski, mushure mokunge nzira yakasara isina nzira yekukurukura neyakatangira Tarisira nzira kunze kwekukurukurirana kuburikidza ne spawn_id.

Iyo-mureza weopopi inokonzera kuti gakava rinotevera ridudzirwe seTcl file identifier (kureva, rakadzorerwa kana rakazaruka .) Ivo rinotadza rinogona kushandiswa sekuti rakange rakatorwa. (Itairi yefaira haifaniri kushandiswa.) Izvi zvinokubvumira kubata zvigadzirwa zvakasvibirira, mafaira, uye mapipipiro sezvazvakaitwa pasina kushandisa pty. 0 inodzoserwa kuratidza kuti hapana inobatanidzwa. Apo kushamwaridzana nehutachiona hunovharwa, ndizvo zvakaita Tcl file foni. Mureza -leaveopen wakafanana-- open kunze kwekuti -leaveopen inokonzera faira rekuzivikanwa kuti yasara yakasununguka kunyange mushure mokunge chivharo chinopfigwa chivharwa.

I- yepepeti yemufambisa inokonzera kuti pty izaruriwe asi hapana nzira inoburitswa. 0 inodzoserwa kuratidza kuti hapana inobatanidzwa. Spawn_id inogadziriswa seyakajairika.

Iyo inokonzera spawn_out (muranda, fd) yakagadzirirwa kune faira rekufananidza rinowirirana neyo pty muranda. Inogona kuvharwa kushandiswa kwe "close-slave".

Ive -ignore mureza inoreva chiratidzo chisingafurwi mune zvakakonzerwa. Zvimwe zvisingaiti, zviratidzo zvinotora kuipa kwekuita. Zviratidzo zvinoshandiswa sezita mumuteyo wekutevera , kunze kwekuti chiratidzo chega chega chinodikanwa mureza wega.

tsvina
inokonzera mitemo inotevera ichinyorwa isati yaurayiwa. (Tcl's trace command inoratidzika zvinoshandiswa.) Nhamba inoratidzira kure zvakadini mumutsetse wechirangiti kuti uone. Semuenzaniso, murairo unotevera unotarisira Tarisira apo uchitarisa mazana mana okutanga emafoni, asi hapana pasi apa.

Tarisira-c "tsvina 4" script.exp

I-mureza wepane inokonzera kusagadzikana kudzoka tsanangudzo yezvino ichangobva kunge isiri yenyaya dzakapiwa.

stty args
shanduko yekugadzirisa maitiro nenzira yakafanana neyokunze stty command.

Nokutadza, chirwere chekugadzirisa chinowanikwa. Zvimwe zvigaro zvinogona kuwanikwa kuburikidza nekushandisa "Zvichemo zvemuitiro zvinodzorera iyo semugumisiro wemirairo." Kana pasina nzvimbo inenge yakumbirwa uye chigadziko chekutaridzika chikawanikwa, chimiro chekare chezvinhu zvakasvibiswa uye zvakasununguka zvinodzorerwa nenzira iyo inogona kuve yakashandiswa nemurairo.

Semuenzaniso, nharo dzakasvibirika kana- dzakabviswa dzinoisa iyo yekugadzirisa kuva yakasvibirira. Nharo -raw kana kubika inogadzirisa iyo inogadziriswa ive yakagadzirwa. Nharo dzinofanirwa uye -echo dzinoisa sarudzo kuti iite echo uye noecho mafungiro maererano.

Muenzaniso unotevera unoratidzira kuti ungadzivisa sei kuverenga kwenguva pfupi. Izvi zvinogona kushandiswa mune imwe nzira-zvinyorwa zvinyorwa kuti usapinda kuvhara mapepa emafaira mavari. (Ona zvimwe kukurukurirana pamusoro peizvi pasi pe EXPECT HINTS pasi apa.)

stty -echo send_user "Password:" tarisa_user -re "(. *) \ n" shandisa password $ wait_out (1, tambo) stty echo

system args
inopa args sh sh (1) sepindiro, sekunge yakave yakanyorwa semurairo kubva pachiguniti. Anotarisira kumirira kusvikira shell inogumisa. Mamiriro ekudzoka kubva sh anogadziriswa nenzira imwechete iyo iyo inotungamirira mamiriro ayo ekudzoka.

Kusiyana nekuita izvo zvinodzokorora stdin uye stdout kune script, system haisi kuendesa mberi (kunze kweiyo inoratidzwa neketambo pachayo). Saka, zvinobvira kushandisa mapurogiramu anofanira kutaura zvakananga kune / dev / tty. Nokuda kwechikonzero ichocho, mitsva yehurongwa haina kunyorwa mugiyo.

timestamp [arg]
anodzosera timestamp. Pasina nharo, nhamba yemasekondi kubvira panguva iyoyo yakadzorerwa.

I-- format mureza inotanga tambo yakadzoserwa asi nekugadziriswa kwakaitwa maererano nemitemo yePOSIX ye strftime. Semuenzaniso% a inotsiviwa nemazita ekufukidzwa kwemazuva evhiki (kureva, Sat). Zvimwe ndezvi:

% zita revhiki revhiki rakapfupiswa% A zuva revhiki rese rakazara zita b% rakapfupiswa zita zita% B mwedzi wegore rose zita re% c zuva-nguva se: Wed Wed 6 11:45:56 1993% d zuva remwedzi (01-31% H hora (00-23)% Iawa (01-12)% j zuva (001-366)% m mwedzi (01-12)% M miniti (00-59)% p am kana masikati% S yechipiri (00-61) % u zuva (1-7, Muvhuro zuva rokutanga revhiki)% U vhiki (00-53, Svondo rokutanga zuva rokutanga revhiki rimwe)% V vhiki (01-53, ISO 8601 maitiro)% w zuva (0- 6) Vhiki yevhiki (00-53, Muvhuro wekutanga ndiyo zuva rokutanga revhiki rimwechete)% x zuva-nguva se: Wed Gumiguru 6 1993% X nguva in: 23:59:59% y gore (00-99) % Y gore se:: 1993% Z nguvazone (kana kuti hapana kana isingagadziriswi) %% isina bare percent sign

Zvimwe% zvido zvisinganzwisisiki. Mamwe mavara akazopfuura kuburikidza asina kuonekwa. Ndiyo chete C nzvimbo inotsigirwa.

I-- seconds mureza inotanga maminitsi mashomanana kubva panguva iyo inoshandiswa sechinhu chinobva pakugadzirisa. Kana zvisina kudaro, nguva yemazuva ino inoshandiswa.

I- gmt mureza inoshandisa nguva yekutora nguva yekushandisa iyo GMT timezone. Pasina mureza, nguva yenguva iyoyo inoshandiswa.

musungo [[command] zviratidzo]
inokonzera murairo wakapiwa kuti uitwe mune ramangwana kugamuchira chero ipi zvayo yakaratidzwa. Murairo unoitwa munyika yose. Kana mutemo usipo, chiratidzo chechiratidzo chinodzorerwa. Kana murayiro tambo SIG_IGN, zviratidzo hazvina hanya. Kana murayiro tambo SIG_DFL, zviratidzo zvinokonzerwa nehutsika husingaiti. zviratidzo zvingangodaro imwe chiratidzo kana urongwa hwemashoko. Zviratidzo zvinogona kutaurwa nenhamba kana kuti zvinomiririra sechiratidzo (3). Shanduro ye "SIG" inogona kubviswa.

Pasina nharo (kana kuti nharo-nhamba), musungo unodzorera nhamba yechiratidzo yemuteyo mutemo ikozvino uri kuitwa.

I- yefaira mureza inoshandisa ikhodi yekudzorera yemirairo panzvimbo yenzira chero ipi zvayo Tcl yakanga yava kuda kudzoka apo murairo pakutanga wakatanga kumhanya.

Iyo-mureza mutsva inokonzera mutemo kuongororwa uchishandisa muturikiri achishanda panguva iyo murairo watanga kushanda pane apo musungo wakatanga kuziviswa.

I-- name mbira inokonzera musungo kuraira kuti adzokere zita rechiratidzo chekurayira musungo ikozvino kuurawa.

I-mureza wemafia inoita kuti musungo urayire kudzorera iyo nhamba huru yemashamba inogona kuiswa.

Somuenzaniso, murairo "musungo {send_user" Ouch! "} SIGINT" ichadhinda "Ouch!" nguva imwe neimwe iyo munhu anoshandisa ... C.

Nokutadza, SIGINT (iyo inogona kuve yakakonzerwa nekudzvinyirira ^ C) uye SIGTERM chikonzero Tarisira kuti uende. Izvi zvinokonzerwa nemusungo unotevera, wakagadzirwa nekusavapo apo Tarisira inotanga.

musungo kubva [SIGINT SIGTERM}

Kana ukashandisa mureza -D kuti utange gadziriro, SIGINT inotsanangurirwa kutanga dhigger. Izvi zvinokonzerwa nemusungo unotevera:

musungo {exp_debug 1} SIGINT

Dhigiyo mutezo inogona kushandurwa nekugadzirisa zvakasiyana-siyana zvekuchengetedzwa kwemhepo EXPECT_DEBUG_INIT kusvika kune imwe mutezo mutezo.

Iwe unogona, zvechokwadi, kuwedzera zvose izvi izvi nokungowedzera mitezo mirairo yako. Kunyanya, kana iwe uine "musungo wabuda SIGINT", izvi zvichanyanya kukanganisa mutezo. Izvi zvinobatsira kana iwe uchida kudzivirira vashandi kuti vasazoenda kumudzidzisi zvachose.

Kana uchida kutsanangurira mutezo wako paSIGINT asi unoramba uchinge wakananga kumudhiriri kana uri kushanda, shandisa:

kana {! [exp_debug]} [musungo chinyararire SIGINT}

Zvimwewo, unogona kusungira kumudzidzisi kushandisa imwe chiratidzo.

musungo haungakuregi iwe uwedzere chiito cheSIGALRM sezvo ichi chinoshandiswa mukati kuti Chimirire . Mutemo wokubvisa unogadzira SIGALRM ku SIG_IGN (rega kuteerera). Iwe unogona kudzorerazve izvi chero bedzi iwe uchidzivirira panguva yemirairo inotevera yemahara.

Ona chiratidzo (3) kuti uwane mamwe mashoko.

wait [args]
kunonoka kusvika pakugadziriswa (kana kuti ikozvino kuitika kana pasina anonzi) kunoguma.

kumirira kazhinji inodzorera runyora rwechina integers. Inhare yekutanga ndiyo pid yenzira yaitarisirwa. Yechipiri inowanikwa ndiyo id idzo inowanikwa id. Kuwedzera kwechitatu ndiko -1 kana chirwere chekushandisa chakakanganisa, kana kuti zvimwe. Kana huwandu hwechitatu hwakanga huri 0, huwandu hwechina hunzvimbo yakadzorerwa nehutachiona. Kana kuwedzera kwechitatu kwaiva -1, chechina chechina ndiyo kukosha kwerrno yakagadzirirwa nehurongwa hwekushanda. Zvose zvinoshandiswa pakasiyana-siyana yeCodeCode zvakare.

Zvimwe zvinhu zvinogona kuonekwa pamagumo ekudzoka kwemajeri kubva kumirira . Anosarudza chikamu chechishanu chinoratidza boka remashoko. Parizvino, iyo chete inogona kukosha kwechikamu ichi chiri CHILDKILLED pane imwe nyaya iyo inotevera maitiro maviri ndeye zita reC-style signal uye tsanangudzo shoma.

I-mureza inotsanangura nzira yekumirira inowirirana neye zita rinonzi spawn_id (HERE iditi yekuita ). Muchikati chemugadziri we SIGCHLD, zvinokwanisika kumirira chero upi zvake wakagadziriswa kuburikidza uchishandisa id idhi -1.

I-- nowait mutezo inokonzera kumirira kudzoka pakarepo nemuenzaniso wekubudirira kuburira. Kana nzira yacho ibuda (gare gare), ichazonyangarika pasina chido chekumirira zvakajeka.

Murairo wekumirira unogona kushandiswawo kumiririra hurongwa hunoshandiswa uchishandisa nharo "-i -1". Kusiyana nekushandiswa kwaro nekutora nzira, murairo uyu unogona kuurawa chero nguva. Hapana hutungamiri pamusoro pehutano hunokohwa. Zvisinei, kukosha kwekudzoka kunogona kuongororwa nokuda kwekuita id.

MABASA

Tarisira unongodzidza nezvemadziraibhurari maviri akavakwa mumatara ekutarisira mabhuku. Izvi zvinorondedzerwa nehuwandu hwemashoko anotsanangurwa mune zvinyorwa exp_library uye exp_exec_library. Zvose izvi zvinoshandiswa kuva nemafuta ekushandiswa anogona kushandiswa nemamwe mavara.

exp_library ine architecture-self files. exp_exec_library ine architecture-dependent mafaira. Zvichienderana nemutemo wako, zvose zvinyorwa zvingave zvisina zvachose. Kuvapo kwefaira $ exp_exec_library / cat-buffers inotsanangura kana yako / bin bin / cat buffers yakasara.

PRETTY-PRINTING

A vgrind tsanangudzo inowanikwa kuti yakanaka-printing Tarisira mabhuku. Kufunga kuti vgrind tsanangudzo inopiwa neIndangariro yekugovera yakanyatsoiswa, iwe unogona kuishandisa se:

vgrind -lexpect file

EXAMPLES

Izvo zvakawanda hazvisi pachena kuti kuisa sei zvinhu zvose pamwe peji peji rinotsanangura. Ndinokukurudzira kuti uverenge uye uedze mienzaniso mumutauro wemuenzaniso wekutarisira kugovera. Vamwe vavo ndivo mapurogiramu chaiwo. Zvimwe zvinongoratidzwa zveimwe nzira, uye zvechokwadi, vakaroorana vanongokurumidza kukurumidza. The INSTALL file ine pfupiso yepamusoro yezvirongwa izvi.

Zvinotarisirwa mapepa (ona ONA DZIMWE) zvinobatsira zvakare. Kunyange zvazvo mamwe mapepa anoshandisa syntax inofanirana nezvinyorwa zvekare zveAtarisira, zvinyorwa zvinotevera zvinoshanda uye zvinopinda mune zvakawanda zvakajeka kupfuura peji iyi peji.

CAVEATS

Zvinyorwa zvinogona kupindirana nemazita ekurayira kweAxpect's. Somuenzaniso, kutumira kunotsanangurwa naTk nokuda kwechinangwa chakasiyana zvachose. Nokuda kwechikonzero ichi, zvizhinji zve Tarisira mirairo inowanikwawo se "exp_XXXX". Mirairo uye zvigadziriswa zvinotanga ne "exp", "inter", "spawn", uye "timeout" hazvina matanho. Shandisa mazita ekuraira akawedzerwa kana iwe uchida kuwirirana uku pakati pemamiriro ezvinhu.

Anotarisira kunotora maonero ekunakidza ekufungidzira. Kunyanya, zvinyorwa zvinowanikwa nemirairo zvakananga kuItarisire hurongwa huchatsvakwa kutanga kubva munharaunda yepamunharaunda, uye kana isingawanikwi, munyika yose. Semuenzaniso, izvi zvinokanganisa kudiwa kwekuisa "globaltime time" mumitemo yose iwe unyorera iyo inoshandisa kutarisira . Kune rumwe rutivi, zvinyorwa zvinyorwa zvinogara zviri munzvimbo yepamunharaunda (kunze kwekunge mutemo we "pasi" wakabudiswa). Dambudziko rinowanzovhiringidzika izvi zvinokonzera apo apo spawn inourawa nenzira. Kunze kweyo nzira, spawn_id haisisiripo, saka iyo yakagadzirwa haisviki nyore nekuda kwekutsvaga. Wedzera "global spawnid" kune nzira yakadaro.

Kana iwe usingakwanisi kubvumira simba re multispawning (kureva kuti, system yako haibatsiri kusarudza (BSD *. *), Sarudzo (SVR> 2), kana chimwe chinhu chakaenzana), Tarisira zvingokwanisa chete kutonga imwe nzira pane imwe nguva. Muchiitiko ichi, usaedza kuisa spawnid , uyewo haufaniri kuita zvirongwa kuburikidza nekuita apo chirongwa chinowanikwa chinoshanda. Uyezve, hauzokwanisi kutarisira kubva kune dzimwe nzira (kusanganisira user seimwe) panguva imwe chete.

Terminal mitsara inogona kuva nemigumisiro yakawanda pane zvinyorwa. Semuenzaniso, kana script yakanyorwa kuti iongorore kubvumirana, inenge ichinyangadza kana kubvumirana kuvharwa. Nokuda kwechikonzero ichi, Tarisira masimba emagetsi emagadzirirwo akaiswa pasi. Zvinosuruvarisa, izvi zvinogona kuita kuti zvinhu zvisakanganise kune mamwe mapurogiramu. Semuenzaniso, emacs shell inoda kuchinja "mapurisa" mappings: matsva matsva anongorongedzwa kune zvitsva panzvimbo pekutora-kutora zvinyorwa zvitsva, uye kubvumirana kwakabviswa. Izvi zvinobvumira munhu kushandisa emacs kuti agadzire mutsara wokupinda. Zvinosuruvarisa, Tarisira hazvikwanise kufungidzira izvi.

Iwe unogona kukumbira kuti Usatarisira kuti usapfuurire kusarudzwa kwaro kwekugadzirisa kwemaseri emagetsi, asi iwe unofanirwa kuchenjerera pakunyorera zvinyorwa zvemamiriro ezvinhu akadaro. Munyaya ye emacs, dzivisai zvichienderana nezvimwe zvinhu zvakadai sokunyora uye kuguma-kwe-line mappings.

Mitemo yakagamuchirwa nharo yakabatanidzwa mune rimwe runyoro (izvo zvinotarisirwa uye zvinoshandiswa ) zvinoshandisa maitiro ekugadzirisa kana rondedzero ichinyorwa chimwe chete kana vazhinji. Iheuristic inogona kukanganisa chete munyaya iyo iyo nyanzvi inonyatsomiririra nharo imwe chete yakazara yakawanda \ n ne mavara asingaiti whitespace pakati pavo. Izvi zvinoratidzika zvisina kukwana zvisingakwanisi, kunyange zvakadaro nharo "-nobrace" inogona kushandiswa kusimbisa gakava rimwe chete kuti riitwe sechinhu chimwe chete. Izvi zvingangodaro zvinoshandiswa nemichina-yakaitwa Tarisira code. Saizvozvowo, -shandisa simba imwe nharo kuti ibate semapurogiramu akawanda / zviito.

BUGS

Chakanga chiri kuedza kunyora purogiramu "zvepabonde" (kana "Smart EXec" kana kuti "Tumira-Chiitiko"), asi pfungwa yakanaka (kana kuti zvichida Puritanism) yakakunda.

Pane dzimwe maitiro, apo ganda rinounzwa, rinonyunyuta pamusoro pekusakwanisa kuwana tty asi rinomhanya zvakadaro. Izvi zvinoreva kuti sarudzo yako ine nzira yekuwana mutungamiri uyo anotarisira asingazivi nezvazvo. Ndapota tsvaga kuti chii, uye tumira mashoko aya kwandiri.

Ultrix 4.1 (zvichida shanduro dzekupedzisira dzakapoteredza pano) dzinofunga nguva dzepamusoro ye-1000000 kuti dzienzane ne 0.

Digital UNIX 4.0A (uye zvichida dzimwe shanduro) inoramba kugovera ptys kana iwe ukatsanangura SIGCHLD mutakuri. Ona peji rekupa mamwe mashoko.

IRIX 6.0 haiti kubata zvibvumirano zvepty kuitira kuti kana Tarisira kuedza kugovera pty yaimboshandiswa nomumwe munhu, inokundikana. Dzorera kuRIX 6.1.

Telnet (yakavimbiswa chete pasi peSunos 4.1.2) inosungirirwa kana TERM isati yaiswa. Iri dambudziko riri pasi pekron, pane uye mune cgi scripts, risingarevi TERM. Nokudaro, iwe unofanira kuzviisa pachena - kune rudzi rwepi rinowanzoshandurwa. Inongofanira kuiswa pane chimwe chinhu! Izvo zvinotevera zvichida zvakakwana kumatambudziko mazhinji.

sarudza env (TERM) vt100

Nzira (yakatsigirwa chete pasi peB BSDI BSD / OS 3.1 i386) inosungirirwa kana SHELL ne HOME zvisati zvasarudzwa. Iri dambudziko riri pasi pekron , pane uye mune cgi scripts, iyo isinganzwisisi mamiriro ezvinhu aya. Nokudaro, unofanira kuzviisa pachena - kune rudzii runowanzosvibiswa. Inongofanira kuiswa pane chimwe chinhu! Izvo zvinotevera zvichida zvakakwana kumatambudziko mazhinji.

shandisa env (SHELL) / bin / sh set env (HOME) / usr / local / bin

Zvimwe zvinoshandiswa zveptys zvakagadzirirwa kuitira kuti kernel iponese chero chinhu chipi zvacho chisina kuverengwa mushure memaminitsi 10 kusvika ku15 (nhamba chaiyo inoshandiswa-kutevedza) mushure mokunge chirongwa chacho chavhara firiro rinotsanangura. Nokudaro Tarisira mapurogiramu akaita se

spawn zuva kurara 20 tarisira

ichapera. Kuti udzivise izvi, tumira mapurogiramu asingabatsiri pamwe nekuita panzvimbo pane kukura . Kunyange zvazvo mamiriro ezvinhu akadaro achifungidzirwa, mukuita ini handina kumbosangana nemamiriro ezvinhu umo kuguma kwekugadzirisa kwepurogiramu chaiyo ingarasika nekuda kweizvi.

Kune rumwe rutivi, Kanda UNICOS ptys kurasira chero chinhu chipi zvacho chisina kuverengwa kunze kwekunge dare racho ravhara firiro rinotsanangura. Ndakazivisa izvi kuti Ndapota uye ivo vari kushanda pakugadzirisa.

Dzimwe nguva kunonoka kunotarisirwa pakati pekukurumidza uye mhinduro, yakadai apo kana tty inoshandiswa inoshandura zvirongwa zveUART kana kuenzanisa bhaudhu yekutarisa nekutsvaga kutanga / kumisa bits. Kazhinji, izvi zvose zvinotarisirwa kurara kwechipiri kana maviri. Imwe nzira yakasimba ndeyekuedza zvakare kusvikira hardware yakagadzirira kugamuchira kuiswa. Muenzaniso unotevera unoshandisa nzira mbiri:

tumira "kasi 9600 \ r"; kurara 1 unotarisira {timeout} kutumira "\ r"; exp_continue} $ prompt}

tsotsi -code haigoni kushanda nemumwe murairo unogara muTcl's event loop, zvakadai sokurara. Dambudziko nderokuti mumutambo unoitika, Tcl inodzinga maitiro ekudzoka kubva kune avoync vashandi. Basa rokugadzirisa kuisa mureza mumusungo wekutevera. Zvadaro tarisa mureza pakarepo mushure mekuraira (kureva, kurara).

Mutarisiro-murairo wenzvimbo unorega-unenge uchitaura uye hauna pfungwa yekugara kwenguva yakawanda.

& EXPECT HINTS & # 34;

Pane zvinhu zvishoma nezve Tarisira izvo zvingava zvisina-intuitive. Ichi chikamu chakaedza kugadzirisa zvimwe zvezvinhu izvi nemamwe mazano.

Chinhu chinotarisirwa chinotarisirwa ndechokuziva kuti shell inokurudzira. Sezvo izvi zvichishandurwa nenzira yakasiyana nevanhu vakasiyana-siyana uye zvikwata zvakasiyana-siyana, zvinonzwisisika kugadzirisa rlogin zvingava zvakaoma pasina kuziva pakarepo. Kokorodzano inonzwisisika ndeyekuti vashandi vanochengetedza mazwi anogara achirondedzera achitsvaga (kunyanya, kuguma kwayo) mumamiriro ezvinhu akasiyana-siyana EXPECT_PROMPT. Kumbani seiyi inotevera inogona kushandiswa. Kana EXPECT_PROMPT isipo, kemo yacho ichiri nemikana yakanaka yekushanda zvakanaka.

tarisa nokukurumidza "(% | # | \\ $) $"; # default prompt catch {set prompt $ env (EXPECT_PROMPT)} kutarisira -re $ nokukurumidza

Ndinokukurudzira kuti unyore kutarisira mitezo iyo inosanganisira kuguma kwezvose zvaunotarisira kuona. Izvi zvinodzivisa mukana wokupindura mubvunzo usati waona chinhu chose. Mukuwedzera, kunyange iwe uchigona kukwanisa kupindura mibvunzo usati wavaona zvachose, kana iwe uchipindura kare, mhinduro yako inogona kuonekwa yakadzoka mukati memubvunzo. Mune mamwe mazwi, nhaurirano inoguma ichava yakarurama asi tarisa kutaridzika.

Zvizhinji zvinokurudzira zvinosanganisira nzvimbo yemunhu pakupedzisira. Somuenzaniso, iyo inobva ku ftp is 'f', 't', 'p', '>' uye. Kuti ufanane neizvi, unofanira kubhadharira mumwe nomumwe wevanhu ava. Iko kukanganisa kwakajairika kusaisa mukana. Isai chinhu chisina kujeka zviri pachena.

Kana ukashandisa mufananidzo we fomu X *, iyo * ichafananidza zvose zvakagadzirwa kubva kumugumo we X kusvika kune chinhu chekupedzisira chakagamuchirwa. Izvi zvinonzwika zvakagadzikana asi zvinogona kunge zvichinyanyisa nokuti mutsara wokuti "chinhu chekupedzisira chawakagamuchira" chinogona kusiyana zvichienderana nekukurumidza kwekombiyuta uye kushandiswa kweI / O zvose ne kernel uye mutyairi wepadhina.

Kunyanya, vanhu vanowanzoona purogiramu yacho ichiuya mumakungu makuru (atomically) apo chaizvoizvo mapurogiramu akawanda anogadzira mutsara mumwe panguva imwe. Tichifunga kuti iyi ndiyo nyaya, iyo * mufananidzo wemavara akapfuura inogona kuenzanisa kuguma kwemutsara iyeyo kunyange zvazvo ichiita seine zvakawanda, nokuti panguva yemutambo ndiyo yose yakagadzirwa yakagamuchirwa.

vanotarisira kuti hapana nzira yekuziva kuti zvimwe zvinowanikwa zviri kuuya kunze kwekuti maitiro ako anonyatsozvipira.

Kunyangwe zvichienderana nekutarisana nemitsara inenge isina kuchenjera. Kwete mapurogiramu anowanzoita zvivimbiso pamusoro pemhando yekutambudza yavanoita, asi system indigestion inogona kuputsa mitsara yepamusoro kuitira kuti mitsetse iparadze panzvimbo dzinoita sedzinenge dzisina kunaka. Nokudaro, kana uchikwanisa kuratidza vanhu vashomanana vekupedzisira nekukurumidza pakunyorera maitiro, kuchenjera kuzviita.

Kana iwe wakamirira chimiro mune zvekupedzisira zvakabuda purogiramu uye purogiramu inounza chimwe chinhu pane kudaro, haugoni kuona icho nezwi rekupedzisa nguva . Chikonzero ichocho chinotarisira hachizoiti nguva - panzvimbo iyo ichawana eof chiratidzo. Shandisa izvozvo panzvimbo. Kunyange zviri nani, shandisa zvose. Nenzira iyo kana ruyero irworwo rwuri kutenderedzwa, haufaniri kuchinja mutsara wacho pachako.

Nhepfenyuro dzeNewline dzinowanzoshandurwa kuchitoro kudzoka, kuenzaniswa kwemasikati pazvinobuda nemutyairi wekupedzisira. Saka, kana iwe uchida mufananidzo unonyatsoenderana nemitsetse miviri, kubva, taura, printf ("foo \ nbar"), unofanira kushandisa muenzaniso we "foo \ r \ nbar".

Shanduro yakafanana inowanikwa paunenge uchiverenga kubva kumunhu, kuburikidza nekutarisira_user . Muchiitiko ichi, kana iwe unodzokorora kudzoka, inenge ichishandurwa kune itsvaline. Kana Taritarisira zvino inopfuurira izvozvo kune purogiramu inogadzirisa kugadzirisa kwayo kugadzirisa mafaira (seTelnet), kuchava nechinetso, sezvo chirongwa chinotarisira kudzoka kwechokwadi. (Mamwe mapurogiramu arikukanganwira mune zvavanozoshandura zvinyorwa zvitsva kuti adzoke, asi vazhinji havaiti.) Nhamo, hapana nzira yekuziva kuti purogiramu inogadzirisa magumo ayo kuti ave maitiro akaoma.

Panzvimbo pokushandura manyorerwo matsva nemitadzo, mhinduro ndeyokushandisa murairo "stty raw", iyo inomisa kushandurwa. Cherechedza, zvisinei, izvi zvinoreva kuti iwe hauchazogoni kuwana mitsetse yekugadzirisa mutsara.

inobatanidza zvakakwana inogadzirisa dambudziko rekugadzirisa dambudziko rekugadzirisa mafungiro ako kuitira kuti dambudziko iri rirege kusimuka ipapo.

Inowanzobatsira kuchengetedza passwords (kana mamwe mashoko ehupfumi) mu Tarisira mabhuku. Izvi hazvibvumirwi sezvo chero chinhu chakachengetwa pamakombiyuta chinogona kuwanikwa kune munhu wese. Nokudaro, kunyatsopindira kwepaswedi kubva pane script ndeye pfungwa yakajeka kudarika kuvhara ivo chaiyo. Kunyange zvakadaro, dzimwe nguva kuiswa kwakadaro ndiyo chete inogona.

Zvinosuruvarisa, iyo UNIX file system haina nzira yakananga yekusika zvinyorwa zvinoshandiswa asi zvisingaverengeki. Zvirongwa zvinotsigira magwaro echikwata zvinyorwa zvinogona kunyatsofananidzira izvi sezvizvi:

Dzai Tarisira script (iyo ine data yakavanzika) sekugara. Itai zvibvumirano zvaro zvive 750 (-rwxr-x ---) uye inowanikwa neboka rakavimbwa, kureva, boka rinobvumirwa kuiverenga. Kana zvichidiwa, tanga boka idzva nekuda kwechinangwa ichi. Zvadaro, tanga bha / bha / sh script nemvumo 2751 (-rwxr-s - x) inowanikwa neboka rimwechete sapakutanga.

Chigumisiro ishoko rinogona kuurayiwa (uye kuverengwa) nemunhu wese. Kana ichibvunzwa, inomhanya inotarisira script.

& # 34; ONA DZIMWE & # 34;

Tcl (3), kusavona (3)
"Kuongorora Kutarisira: Tcl-Based Based Toolkit ye ​​Automating Interactive Programs" neDon Libes, pp. 602, ISBN 1-56592-090-2, O'Reilly uye Associates, 1995.
"Tarisira: Kurapa Avo Vasingadzorwi Maitiro Ekusagadzikana" neDon Libes, Proceedings of the Summer 1990 USENIX Conference, Anaheim, California, June 11-15, 1990.
I "Kushandisa kutarisira ku Automate System Administration Tasks" neDon Libes, Proceedings of the 1990 USENIX Kubata Kuiswa kweMitambo yeUnited Systems, Colorado Springs, Colorado, October 17-19, 1990.
I "Tcl: Mutauro Wemutemo Wakaiswa Mukati" naJohn Ousterhout, Proceedings of the Winter 1990 USENIX Conference, Washington, DC, 22-26, 1990. "Tarisira: Scripts for Controlling Interactive Programs" neDon Libes, Computing Systems , Vol. 4, Nha. 2, Yunivhesiti yeCalifornia Press Journals, munaNovember 1991..I "Kuongororwa Kuongorora nekugadzirisa kuongororwa kweIndaneti", neDon Libes, Proceedings of the Summer 1992 USENIX Conference, mapeji 135-144, San Antonio, TX, June 12-15, 1992 .. "Kibitz - Connecting Multiple Interactive Programs Together", neDon Libes, Software - Practice & Experience, John Wiley & Vanakomana, West Sussex, England, Vol.

23, Nha. 5, May, 1993..I "Debugger yeTcl Applications", neDon Libes, Proceedings ye 1993 Tcl / Tk Workshop, Berkeley, CA, June 10-11, 1993.

AUTHOR

Don Libes, National Institute of Standards uye Technology

ZVINONYANYA

Ndiko kutenda kwaJohn Ousterhout kwaTcl, uye Scott Paisley mukufemera. Ndiko kutenda kwaRob Savoye kweKutarisira kwekutsvaga kwekugadzirira.

IHISTORY faira inonyora zvakawanda zvekushanduka kwekutarisira . Inogadzira kuverenga kunonakidza uye inogona kukupa humwe ruzivo rwepurogiramu iyi. Kuvonga kuvanhu vakataurwa mairi vakanditumira zvigadziri uye vakapa mamwe rubatsiro.

Kugadzirwa nekugadziriswa kwekutarisira kwakabhadharwa muchidimbu nehurumende yeUnited States uye naizvozvo iri munzvimbo yevanhu vose. Zvisinei munyori naNIST vangada chikwereti kana chirongwa ichi uye zvinyorwa kana zvimwe zvikamu zvadzo zvinoshandiswa.